Glossary of Semiconductors Terms (A–Z)
Introduction
The world of semiconductors – the building blocks of modern electronics – comes with a lot of specialized terminology. This comprehensive semiconductor glossary explains key terms and concepts, covering fundamental physics (doping, bandgap, carrier mobility), manufacturing processes (lithography, etching, deposition), materials (silicon, gallium nitride, silicon carbide), device types (diodes, transistors, integrated circuits), and industry models (fabless, foundry, IDM). Each term is defined in clear, concise language to get semiconductor technology explained for all audiences – whether you’re a student, hobbyist, engineer, or professional. Use this alphabetical semiconductor glossary to navigate the essential vocabulary of microelectronics.
Table Of Contents
A
Analog ICs (Analog Circuits)
Electronic circuits that process continuous signals over a range of values, as opposed to discrete digital levels. Analog ICs handle real-world inputs (like sound, light, temperature) and perform functions such as amplification and filtering (What is an Analog Integrated Circuit (IC) and How is it Designed? | Ansys). They are fundamental in sensor interfaces, audio electronics, and power control, often working alongside digital circuits in mixed-signal designs.
Annealing
A heat treatment process used in semiconductor manufacturing to alter material properties through controlled heating (Technical Glossary | Lam Research). For example, after ion implantation (a doping step), wafers are annealed to repair crystal damage and activate dopants by incorporating them into the lattice (Ion Implantation). Annealing steps are critical for achieving the desired electrical characteristics in devices.
Application-Specific Integrated Circuit (ASIC)
An integrated circuit chip customized for a particular application or product, rather than intended for general-purpose use (Application-specific integrated circuit - Wikipedia). ASICs are designed to perform only specific functions (for example, in a digital camera or a cryptocurrency miner), which allows them to achieve higher efficiency and performance for that task compared to general-purpose chips.
Atomic Layer Deposition (ALD)
A thin-film deposition technique that uses sequential, self-limiting surface reactions to deposit material one atomic layer at a time (What is Atomic Layer Deposition (ALD)? - Samco Inc.). ALD enables precise thickness control and excellent conformity over complex 3D structures, making it essential for modern nodes (for instance, depositing high‑κ gate dielectrics in advanced transistors) (What is Atomic Layer Deposition (ALD)? - Samco Inc.). Its layer-by-layer nature ensures uniform coatings even on nanoscale features.
B
Bandgap (Energy Bandgap)
The energy difference between the top of the valence band and the bottom of the conduction band in a semiconductor (Direct and Indirect Band Gap Semiconductors - DoITPoMS). This gap determines how easily electrons can be excited to conduct electricity; a small bandgap (as in semiconductors) allows some electrons to jump to the conduction band at room temperature, unlike insulators (large bandgap) or conductors (no gap). The bandgap also dictates a material’s optical properties (e.g., LED color) and temperature behavior.
Bipolar Junction Transistor (BJT)
A type of transistor that uses both electrons and holes as charge carriers (BJT (disambiguation) - Wikipedia). It has three regions (emitter, base, collector) and can be an NPN or PNP structure. In a BJT, a small base current controls a larger emitter-collector current, allowing the device to amplify signals or act as a switch. BJTs were key active devices in early integrated circuits and are still used in applications requiring high current or analog precision.
Back-End-of-Line (BEOL)
The latter stage of the chip fabrication process where interconnects (metal wiring and vias) are formed over the devices. BEOL consists of depositing and patterning multiple metal layers and insulating dielectrics to create the intricate wiring that connects transistors within the chip (Backend-of-the-line (BEOL) - Semiconductor Engineering). For example, after transistors are made in the Front-End-of-Line, BEOL steps build up copper or aluminum wires and vias that link billions of transistors according to the circuit design.
C
Clean Room
A highly controlled manufacturing environment with extremely low levels of dust, airborne microbes, and particles. Semiconductor fabs are clean rooms where air is filtered to remove contaminants that could ruin microscopic circuits (Clean Rooms Explained: Clean Room Concept and Technology - IPG). Workers wear “bunny suits” (coveralls) to avoid shedding particles, and the clean room’s cleanliness is rated by class (e.g., Class 10, meaning ≤10 particles of 0.5 µm per cubic foot).
CMOS (Complementary Metal-Oxide-Semiconductor)
A predominant semiconductor technology for constructing integrated circuits, characterized by using complementary pairs of p-type and n-type MOSFETs (Complementary metal oxide semiconductor (CMOS)). CMOS is used in microprocessors, memory, and digital logic because of its high noise immunity and low static power consumption (since current flows only during switching) (Complementary metal oxide semiconductor (CMOS)). It also finds use in analog applications (e.g., image sensors), making it a versatile, industry-standard process.
Chiplet
A small functional chip that is designed to work in tandem with other chiplets on an integrated package, rather than one large monolithic die. Each chiplet implements a subset of functions (e.g., CPU cores, memory, I/O) and, when interconnected on a package (often via high-speed interfaces or an interposer), they form a complete system (Chiplet - Wikipedia). Chiplet-based design improves yield and scalability, allowing advanced processors (like CPUs and GPUs) to be assembled from multiple dies, and is a growing trend in overcoming traditional monolithic scaling limits.
CHIPS Act
A U.S. federal law (enacted 2022, part of the CHIPS and Science Act) that provides ~$52 billion in subsidies and incentives to boost domestic semiconductor manufacturing and R&D (What Is the CHIPS Act? | Council on Foreign Relations). The Act aims to strengthen the semiconductor supply chain, encourage companies to build fabs in the US, and maintain technological leadership. It reflects broader market trends of governments investing in chip production due to strategic importance and supply chain security.
Chemical Vapor Deposition (CVD)
A deposition process where gaseous precursors react or decompose on the wafer surface to form a solid thin film (Chemical Vapor Deposition: Process & Types | Vaia). CVD can produce high-quality, uniform coatings of materials like silicon dioxide, silicon nitride, or metals, and is widely used for insulating and protective layers. Variants include LPCVD (low-pressure) and PECVD (plasma-enhanced), and the technique is valued for making conformal films over complex topography.
D
Die
Die: An individual cut piece of semiconductor wafer that constitutes one integrated circuit (chip). Hundreds or thousands of dies are patterned on a single silicon wafer during fabrication; after all processing, the wafer is diced (cut) into single dies (Glossary of Semiconductor Terms | GlobalFoundries). Each die is then packaged or integrated into electronic devices. (In industry usage, die can also refer to the active silicon area of a chip even before dicing.)
Diode
A two-terminal semiconductor device (typically a PN junction) that conducts current primarily in one direction (Diode - wiki.openmod-initiative.org). In forward bias (positive voltage on the p-side), the diode allows current flow, while in reverse bias it blocks current until breakdown occurs. Diodes are fundamental components used for rectification (converting AC to DC), signal demodulation, LED lighting (light-emitting diodes), and protection circuits.
Doping
The intentional introduction of impurity atoms into a semiconductor to modify its electrical properties (Doping (semiconductor) - Wikipedia). By adding trivalent impurities (like boron) one creates p-type material (more holes), and adding pentavalent impurities (like phosphorus) creates n-type material (more free electrons). Doping increases conductivity and allows formation of p–n junctions essential for diodes and transistors. The concentration (light or heavy doping) is controlled to achieve desired device behavior.
DRAM (Dynamic Random-Access Memory)
A type of volatile semiconductor memory that stores each bit in a tiny capacitor and transistor cell. DRAM must be periodically refreshed (dynamic) as the charge in capacitors leaks over time. It is widely used as the main memory in computers due to its high density. DRAM is organized in arrays and accessed in rows/columns; it’s called “random access” because any memory location can be accessed directly. Modern DRAM chips pack billions of cells and use advanced designs (like DDR4, DDR5) for speed.
Digital ICs (Digital Integrated Circuits)
Digital ICs (Digital Integrated Circuits): Circuits that process information using binary values (0 and 1). Digital ICs operate with logic gates and transistors switching on/off, representing discrete logic states (What is an Analog Integrated Circuit (IC) and How is it Designed? | Ansys) (Integrated Circuit Tutorial | PDF | Integrated Circuit | Electronic Circuits). They form the foundation of computers and digital systems, including microprocessors, digital signal processors, and memory chips. Unlike analog ICs, which handle a continuum of values, digital ICs offer reproducibility and noise immunity by interpreting signals in two distinct ranges corresponding to boolean logic levels.
E
Etching
A fabrication process that selectively removes material from the wafer to create patterns or structures. Etching can be wet (using chemical solutions to dissolve materials) or dry (using plasma gases to sputter or react away materials). For instance, after a pattern is defined in photoresist, etching transfers that pattern into underlying layers by removing exposed areas (Etch | Applied Materials). Modern plasma etching techniques allow anisotropic profiles (vertical sidewalls) critical for fine features.
EUV Lithography (Extreme Ultraviolet Lithography)
An advanced photolithography technique that uses 13.5 nm wavelength light to pattern extremely small features (Photolithography and its limitations - Fiveable). By using this much shorter wavelength (compared to deep-UV 193 nm), EUV can achieve higher resolution patterning required for 7 nm, 5 nm, and smaller technology nodes. EUV employs specialized mirrors (since 13.5 nm is absorbed by materials) and a laser-produced plasma light source. It is a key enabler of continuing Moore’s Law, though it comes with significant cost and complexity.
Epitaxy (Epitaxial Growth)
A process of growing a single-crystal semiconductor layer on top of another crystalline substrate, where the deposited layer follows the crystal orientation of the substrate (Epitaxy | Crystal Growth & Characteristics - Britannica). There are two types: homoepitaxy (same material as substrate, e.g., silicon on silicon) and heteroepitaxy (different material, e.g., silicon on sapphire or GaAs on GaP). Epitaxial layers are used for making high-purity active regions (like the strained silicon channel in CMOS or the active layers in LEDs/lasers). Techniques include Chemical Vapor Deposition (for silicon epi) and Molecular Beam Epitaxy (for precise compound semiconductor layers).
EDA (Electronic Design Automation)
Software tools used by engineers to design, simulate, and verify electronic systems and integrated circuits. EDA encompasses programs for circuit schematic capture, logic synthesis, layout (place-and-route), and verification (like timing analysis and circuit simulation) (Electronic design automation - Wikipedia). These tools handle the complexity of modern chip design with billions of transistors, enabling automated checking and optimization. Major EDA categories include IC design (ASIC/FPGA tools), printed circuit board design, and verification tools, collectively accelerating development and helping achieve “first-pass” functional silicon.
F
Fab (Fabrication Facility)
A semiconductor manufacturing plant, containing clean rooms and equipment for processing wafers. Fab is short for fabrication, essentially where the chips are made (Glossary of Semiconductor Terms | GlobalFoundries). Fabs are highly advanced factories with tools for lithography, etch, deposition, doping, and CMP, often costing billions of dollars. Because of the complexity and cost, only a limited number of companies operate leading-edge fabs (e.g., TSMC, Samsung, Intel), while others use contract foundries.
Fabless
A business model in the chip industry where a company focuses on chip design and outsources the manufacturing to a foundry. A fabless semiconductor company designs and markets ICs but does not own a fabrication plant (Fabless Company: What It Is, How It Works, Example - Investopedia). For example, companies like Qualcomm and NVIDIA are fabless—they develop chip architectures and layouts, then rely on foundries like TSMC for production. This model lowers capital investment and lets companies concentrate on innovation in design.
Foundry
A company or division that specializes in fabricating semiconductor devices for other companies’ designs. A foundry (often called a “pure-play” foundry if it only manufactures and doesn’t sell its own designs) provides manufacturing services to fabless firms (Fabs, Fabless Companies, and Foundries Explained). Examples include TSMC and GlobalFoundries. In the foundry model, customers deliver chip designs (masks/GDS files) to the foundry, which then manufactures the wafers and delivers finished chips. Foundries invest heavily in process technology and offer standard process nodes and IP libraries to clients.
FinFET (Fin Field-Effect Transistor)
A type of non-planar, 3D transistor where the conducting channel is a vertical fin structure raised above the substrate. The gate wraps around the fin on three sides, improving control over the channel compared to older planar MOSFETs (What is a FinFET? – Benefits & How it Works - Synopsys). FinFET technology was introduced at ~22 nm node (first in production by Intel) to reduce leakage and support further scaling of CMOS. By using multiple fins or varying fin width, designers can drive stronger currents. FinFETs have enabled continued Moore’s Law progression through 14 nm, 7 nm generations, etc., but add complexity in manufacturing.
Front-End-of-Line (FEOL)
The first phase of semiconductor fabrication where the active devices (transistors, diodes, capacitors) are formed in the silicon wafer. FEOL includes processes such as well formation, transistor channel doping, gate stack formation, source/drain implantation, and activation annealing (Technical Glossary | Applied Materials). It generally covers everything up to (but not including) the deposition of the first metal interconnect layer (Technical Glossary | Applied Materials). After FEOL, the wafer has all its transistors ready, and it moves to BEOL for wiring. The FEOL’s quality largely determines the performance characteristics of the chip (transistor speed, threshold voltage, etc.).
G
Gallium Arsenide (GaAs)
Gallium Arsenide (GaAs): A III–V compound semiconductor made of gallium (Group III) and arsenic (Group V). GaAs has a direct bandgap and higher electron mobility than silicon, making it excellent for high-speed and high-frequency applications ([Problem 74 Define a semiconductor, and give... FREE SOLUTION ...). It’s used in RF power amplifiers (for cell phones), microwave integrated circuits, and optoelectronic devices like laser diodes and LEDs. While more expensive than Si, GaAs excels in areas like satellite communications and was historically used in early LEDs and solar cells.
Gallium Nitride (GaN)
A wide-bandgap semiconductor (Group III–V compound of Ga and N) known for its ability to handle high voltages and switching speeds. GaN devices (e.g., transistors, diodes) can operate at higher temperatures and frequencies than silicon, making them ideal for power electronics and RF applications (Electronegativity-Driven Nitride Conductivity Estimation | True ...). GaN is widely used in LED lighting (bright blue LEDs and white LEDs use GaN) and is emerging in power converters (laptop chargers, electric vehicle inverters) due to its efficiency at high voltage. Its bandgap (~3.4 eV) also allows GaN transistors to sustain large electric fields without breaking down.
Gate-All-Around FET (GAAFET)
An advanced transistor architecture where the gate material surrounds the semiconductor channel on all sides (360° control) (What are Gate-All-Around (GAA) Transistors? | Synopsys Blog). This is an evolution of the FinFET – by wrapping the gate completely around a nanowire or nanosheet channel, GAAFETs provide even better electrostatic control, reducing leakage at very small geometries. Major chipmakers are transitioning to GAAFETs (also called nanosheet transistors) for technology nodes ~3 nm and beyond. The improved gate control allows further device scaling and lower threshold voltages.
GPU (Graphics Processing Unit)
A specialized processor designed for parallel computation, originally focused on rendering graphics. GPUs contain hundreds to thousands of smaller cores optimized for handling multiple operations simultaneously, which is ideal for graphics rendering and image processing tasks. Modern GPUs are used not only for graphics (in gaming, UI, etc.) but also for general-purpose parallel workloads like AI training, scientific simulations, and cryptocurrency mining. A GPU can perform many arithmetic operations in parallel, making it a powerhouse for matrix and vector computations (What is GPU (Graphics Processing Unit)? | Fugo Digital Signage Wiki).
Germanium (Ge)
A chemical element and semiconductor that was used as the material for the first transistors in the 1940s–50s. Germanium has intrinsic properties similar to silicon (group IV element) but with a smaller bandgap (~0.66 eV). Early transistor development relied on Ge until silicon technology took over in the 1960s (History of the transistor - Wikipedia). Today, germanium is sometimes used in high-speed devices and as an alloying element (e.g., SiGe in BiCMOS processes to improve transistor speed). It also has applications in infrared optics. Due to higher carrier mobility, research continues on Ge-based channels for future CMOS, though its use is more niche compared to silicon.
H
Heterojunction
An interface between two dissimilar semiconductor materials with different bandgaps (contrast with a homojunction, which is p–n within the same material) (Heterojunction - Wikipedia). Heterojunctions are engineered to create favorable band alignments – for example, in a heterojunction bipolar transistor (HBT) or in LED/laser structures (GaAs/AlGaAs). Because the materials differ, carriers encounter band discontinuities that can be exploited for improved performance (such as reduced base recombination in HBTs or electron confinement in quantum wells). This concept is fundamental in modern high-speed and optoelectronic devices.
High-x Dielectric
A material with a high dielectric constant (κ) used to replace silicon dioxide as the gate insulator or in capacitors (High-x dielectric - Wikipedia). In advanced CMOS (45 nm node and beyond), high-x dielectrics like hafnium oxide (HfO₂) allowed thicker insulating layers (to reduce leakage) while maintaining high capacitance, thus improving the transistor gate control without the excessive leakage of ultra-thin SiO₂. The term “high-x” means a κ value significantly higher than SiO₂ (~3.9). High-x materials, paired with metal gates, solved the gate leakage problems of deep submicron transistors and enabled further scaling of MOSFETs.
Heterogeneous Integration
The technology of integrating separately manufactured components (often from different process technologies or materials) into a single package or system to achieve enhanced functionality (Heterogeneous Integration Roadmap (HIR) Workshop | IEEE). This can involve combining chips of different nodes (for example, a CMOS processor with a III–V photonics chip, memory stacks, etc.) in one package (as in system-in-package or 2.5D/3D integration). The goal is to go “beyond Moore’s Law” by incorporating diverse functions (logic, memory, RF, power, sensors) together, rather than only scaling transistors. Techniques enabling this include chiplet architectures, 3D stacking with through-silicon vias, and advanced packaging methods.
I
Integrated Circuit (IC)
A set of electronic circuits on a single small flat piece of semiconductor material (typically silicon) (Chip (Integrated Circuit) | C | Definitions | Accounting Terms Lexicon). An IC (also simply called a chip or microchip) can contain from a few transistors (as in early 1960s chips) to billions of transistors (modern microprocessors). There are many types of ICs: digital (microprocessors, memory), analog (op amps), mixed-signal, RF, etc. The invention of the integrated circuit revolutionized electronics by miniaturizing and mass-producing complex circuits, leading to the modern computers and devices we use today.
Integrated Device Manufacturer (IDM)
A semiconductor company that both designs and fabricates its own chips in-house (Integrated device manufacturer - Wikipedia). IDMs control the entire production flow from circuit design to wafer processing and packaging. Examples include Intel, Samsung, and Texas Instruments. This model contrasts with fabless companies (design-only) and pure-play foundries (manufacturing-only). IDMs often require huge capital investment to keep fabs up to date, but they benefit from optimizing design and process together and not relying on external fabs.
Ion Implantation
A process for doping semiconductors by accelerating ions of dopant elements and embedding them into the wafer surface (Ion Implantation | Semiconductor Digest). Ion implantation allows precise control of dose and depth (energy) of impurities introduced (e.g., boron for p-type, arsenic for n-type). It’s performed in vacuum using an ion implanter; afterward, an anneal is done to repair damage and activate dopants. Compared to older diffusion doping methods, implantation offers better accuracy and is used for nearly all doped regions in modern ICs. It’s a key step in defining transistor source/drains, wells, and channel tailoring.
IGBT (Insulated Gate Bipolar Transistor)
A power semiconductor device that combines the easy gate control of a MOSFET with the high-current capability of a bipolar transistor (Insulated Gate Bipolar Transistor - Electronics Tutorials). Physically, an IGBT is like a MOSFET controlling a bipolar output section. They are used as electronic switches in medium-to-high power applications such as motor drives, inverters, and electric vehicle electronics. IGBTs handle large voltages and currents efficiently, and have largely replaced older bipolar transistors and thyristors in many power conversion systems. They have three terminals (Gate, Collector, Emitter) and offer fast switching with relatively low losses.
III–V Semiconductors
Compound semiconductors made from elements in groups III and V of the periodic table (III-V compound | chemical compound - Britannica). Examples include GaAs, GaN, InP (indium phosphide), and InGaAs (indium gallium arsenide – a ternary alloy). These materials often have direct bandgaps and high electron mobility, making them ideal for optoelectronics (LEDs, laser diodes, photodetectors) and high-frequency RF components. III–V semiconductors complement silicon in applications where silicon is not optimal (for instance, GaAs in RF amplifiers or GaN in high-power transistors). However, they are typically more expensive and harder to integrate at large scales.
J
JFET (Junction Field-Effect Transistor)
A type of FET in which the gate is formed by a p–n junction that is reverse-biased to control current flow through a channel. In a JFET, the source-drain current flows through a semiconductor channel, and a voltage on the gate (p–n junction) modulates the channel width by depletion, thereby controlling the current (Chapter 11 | JFETs, MOSFETs, AND IGBTs Flashcards - Quizlet). JFETs are “normally on” (depletion-mode devices) and have high input impedance. They were widely used in earlier analog circuits (and still in some low-noise amplifiers) before MOSFETs became dominant, as JFETs can offer very low noise and simple fabrication.
K
K-value (Dielectric Constant)
The relative permittivity of a dielectric material – essentially a measure of the material’s ability to store electrical charge in an electric field. In semiconductor terms, k-value is important for insulators used between metal layers or as gate dielectrics. For example, silicon dioxide has a k ≈ 3.9, while “high-k” materials like hafnium oxide have much larger values, and “low-k” polymers or SiOCH have lower values to reduce capacitance (Technical Glossary | Lam Research). High-k dielectrics help reduce gate leakage in transistors, whereas low-k dielectrics are used in BEOL to minimize parasitic capacitance between metal interconnects, speeding up signal propagation.
L
Lithography (Photolithography)
The process of patterning specific shapes on a wafer using light. It involves coating the wafer with a light-sensitive resist, projecting a masked light image (using UV light) onto it, and then developing the resist to remove either exposed or unexposed regions. This transfers geometric patterns from a photomask to the resist on the substrate (photolithography | Photonics Dictionary). Subsequent etching or implantation uses the resist pattern as a stencil. Photolithography is repeated many times in chip fabrication to create all the layers of circuits. Advanced lithography employs deep ultraviolet (DUV) lasers at 193 nm, immersion techniques, and EUV at 13.5 nm to achieve features only tens of nanometers in size.
LED (Light-Emitting Diode)
A semiconductor diode that emits light when forward biased (current flows through it) (What Does LED Stands for? - Unilumin Group). In an LED, electrons and holes recombine at the p–n junction and release energy as photons (electroluminescence). The color (wavelength) of the light depends on the bandgap of the semiconductor material (e.g., GaAs-based alloys for red/infrared, GaN-based for blue/green). LEDs are used for indication lights, displays, and general illumination (with white LEDs). They are highly efficient and long-lasting compared to incandescent bulbs. The development of blue LEDs (using GaN) led to modern high-intensity white LED lighting.
Low-x Dielectric
An insulating material with a dielectric constant lower than that of silicon dioxide (~3.9) (Technical Glossary | Lam Research). Low-κ dielectrics are used in the interconnect layers (BEOL) of advanced chips to reduce parasitic capacitance between metal lines, which in turn reduces RC delay and power dissipation in interconnects. Examples include organosilicate glasses or porous silica with κ ~2.5 or below. As device geometry shrank, the need for low-κ materials became critical around the 130 nm node and beyond. Extremely low-κ (ULK) dielectrics (<κ 2.5) often involve introducing porosity, albeit at the cost of reduced mechanical strength (Technical Glossary | Lam Research).
M
Moore’s Law
An empirical observation that the number of transistors on a dense integrated circuit doubles approximately every two years (Moore's law - Wikipedia). It was originally stated in 1965 by Gordon Moore (co-founder of Intel) and held true for decades, driving the exponential growth of computing power. Moore’s Law is not a physical law but a guiding principle for the semiconductor industry roadmap. While physical limits are being approached, companies have adopted innovations (FinFETs, multi-patterning, 3D NAND, chiplets) to continue scaling or increasing functionality, and the spirit of Moore’s Law now extends to cost-per-transistor improvements and novel integration (see More-than-Moore).
MOSFET (Metal-Oxide-Semiconductor Field-Effect Transistor)
The most common type of transistor in ICs, used for switching and amplifying electronic signals. A MOSFET has four terminals (Gate, Drain, Source, Body) and operates by using a voltage at the insulated gate to modulate the conductivity of a channel between source and drain. In CMOS technology, enhancement-mode MOSFETs are used in complementary pairs (n-MOS and p-MOS) for logic gates. MOSFETs feature an oxide (insulator) between the gate and channel, which gives them very high input impedance (MOSFET Types, Working, Structure, and Applications). Variations include PMOS, NMOS, depletion/enhancement types. Because of their scalability and low power, MOSFETs form the basis of virtually all digital and analog ICs today.
Microprocessor
The central processing unit (CPU) of a computer, implemented as a single integrated circuit or a few integrated circuits. A microprocessor contains the arithmetic logic unit, control unit, registers, and often caches – essentially all the functions of a computer’s central brain on one chip (What is a microcomputer? | Definition from TechTarget). The first microprocessors in the 1970s had a few thousand transistors (e.g., Intel 4004), whereas modern microprocessors (Intel Core, AMD Ryzen, ARM-based SoCs) have billions of transistors and multiple cores. Microprocessors execute machine instructions and are found not only in PCs/servers but also in embedded systems, smartphones (as part of SoCs), appliances, and more.
MEMS (Micro-Electro-Mechanical Systems)
Tiny machines that integrate mechanical structures with electronic circuits on a chip, typically ranging in size from micrometers to a few millimeters (What is MEMS (micro-electromechanical system)? Definition from WhatIs.com). MEMS devices can include sensors (accelerometers, gyroscopes, pressure sensors, micromirrors) and actuators (inkjet nozzles, microvalves), fabricated using modified semiconductor processes (etching silicon to form moving parts). They often incorporate microscale mechanical components like cantilevers, springs, and membranes alongside electronics. MEMS are ubiquitous in modern smartphones (for motion sensing), cars (airbag accelerometers, tire pressure sensors), and optical communications (micromirror arrays). Their batch fabrication on silicon wafers makes them low-cost and reproducible.
More-than-Moore
An industry paradigm referring to adding new functionalities to ICs (heterogeneous integration, different device types) rather than solely focusing on transistor scaling (which is “More Moore”). More-than-Moore involves functional diversification – integrating analog, RF, power, sensors, MEMS, photonics, etc., into system-on-chip or multi-chip packages to create enhanced products (More than Moore: the next steps for the semiconductor industry - Blog). This approach acknowledges that while transistor miniaturization may slow, overall capability can grow by combining technologies. Examples include combining logic and high-density memory in one package, or RF and digital in one module, or using chip stacking for compact systems. It complements classic scaling by broadening what ICs can do.
N
NAND Flash
A type of non-volatile flash memory organized with logic akin to a NAND gate (transistors in series). NAND flash stores data in arrays of memory cells (floating-gate or charge-trap transistors) and retains information even when power is removed (NAND vs. NOR Flash Memory: Unpacking the Battle of Non-Volatile ...). It’s the prevalent storage technology in USB drives, SSDs, and memory cards due to its high density and fast erase/write in blocks. NAND cells can be SLC (single-level cell) or multi-bit (MLC, TLC, QLC, storing 2, 3, 4 bits per cell respectively) to increase density at some cost to endurance. Modern NAND flash is often 3D NAND, where cells are stacked vertically in dozens to hundreds of layers to massively increase storage density (Technical Glossary | Lam Research).
Node (Process Node)
A term used to denote a generation of semiconductor manufacturing technology, historically identified by a feature dimension in nanometers (e.g., 90 nm, 7 nm). A process node generally implies a certain transistor density and performance level – smaller node numbers mean more advanced, higher-density processes (Understanding Semiconductor Technology Nodes: From 10nm to ...). Originally, the node number correlated to the smallest transistor gate length or half-pitch, but in modern marketing it’s an abstract label (e.g., a “5 nm” node doesn’t literally have 5 nm features everywhere). Each node often brings improvements like smaller transistors, new materials (high-κ/metal gates, Cu interconnects, EUV lithography at latest nodes), and better speed/power characteristics.
O
Optoelectronics
A branch of electronics involving devices that produce or interact with light (photons). Optoelectronic devices include light emitters like LEDs and laser diodes, light detectors like photodiodes and image sensors, and optical modulators (Optoelectronics and its Omniscient Array of Industrial Application). They are critical for fiber-optic communication, solar cells, displays, and lighting. Optoelectronics merges semiconductor physics with photonics – for instance, a photodiode converts light to electrical current, while an LED does the reverse. Materials for optoelectronics often go beyond silicon, using direct bandgap semiconductors (III–V compounds) for efficient light emission/detection.
OLED (Organic Light-Emitting Diode)
A type of LED where the emissive electroluminescent layer is an organic (carbon-based) compound (COV ITRM Glossary › O › Organic Light-Emitting Diode (OLED)). OLEDs are used in high-contrast, thin, and flexible displays (such as smartphone screens and TVs) and lighting panels. They work by injecting charge into organic thin films sandwiched between electrodes; exciton recombination in the organic layer emits light. OLED displays can be made on plastic substrates (flexible screens) and don’t require a backlight (each pixel emits its own light), resulting in deep blacks and high contrast. However, the organic materials can be sensitive to moisture and oxygen, and different compounds are needed for red, green, blue emission.
P
Packaging
The final stage of semiconductor manufacturing where the bare silicon die is encapsulated in a protective package and provided with connection terminals (pins, balls, etc.) to interface with circuits (All About IC Packaging - PCB Design & Analysis - Cadence). The package protects the die from physical damage and heat, and allows it to be mounted on printed circuit boards. Traditional packaging includes forms like DIP, QFP, BGA, while advanced packaging includes SIP (system-in-package), flip-chip, and wafer-level packages. Packaging can also incorporate multiple dies (multi-chip modules, chiplets) and often has to manage heat dissipation and signal routing for high-performance chips.
Photoresist
A light-sensitive polymer coating applied to wafers to enable lithography pattern transfer. When exposed to UV light (through a photomask) and developed, the photoresist forms a pattern that either remains in exposed areas (negative resist) or in unexposed areas (positive resist) (Technical Glossary | Lam Research). This patterned resist acts as a stencil for subsequent steps like etching or ion implantation. After those steps, the resist is removed (stripped). Photoresists are designed to have high resolution and etch resistance; for deep-UV and EUV lithography, chemically amplified resists are common. Controlling resist thickness and uniformity is critical for accurate patterning.
PN Junction
The interface where p-type and n-type semiconductor regions meet (PN Junction - Definition, Formation, Application, VI Characteristics ...). Across a p–n junction, electrons and holes diffuse and recombine, creating a depletion region with an electric field. This fundamental structure allows current to flow easily in one direction (forward bias) but blocks it in reverse (until breakdown), giving the diode its rectifying behavior. P–n junctions are the building blocks of many devices: diodes, bipolar transistors (which have two junctions), solar cells, LEDs, and more. When forward-biased, the junction injects carriers and conducts; when reverse-biased, it widens the depletion region and insulates (up to the breakdown voltage).
Power Semiconductor Devices
Transistors, diodes, and thyristors designed to handle high voltages and large currents, used in power conversion and control (e.g., in power supplies, motor drivers, automotive electronics). These devices (such as power MOSFETs, IGBTs, Schottky diodes, TRIACs) are built on silicon or wide-bandgap materials like SiC/GaN and have structures optimized for efficient switching at high power levels (What Is a Power Semiconductor? |Sanken Electric). They often feature enlarged die areas, thicker layers, and high breakdown-voltage structures. Power semiconductors are the backbone of power electronics – enabling efficient transformers of electrical energy in everything from smartphone chargers to renewable energy inverters and industrial motor drives.
Q
Quantum Computing
A computation paradigm that uses quantum-mechanical phenomena (like superposition and entanglement) to perform operations on data, potentially solving certain problems faster than classical computers (Azure Quantum | What is quantum computing? - Microsoft). A quantum computer uses qubits instead of bits; qubits can exist in combinations of 0 and 1 states simultaneously (superposition). When entangled, qubits can correlate in ways classical bits cannot, enabling powerful algorithms (e.g., Shor’s algorithm for factoring, Grover’s search algorithm). Semiconductor-related quantum computing includes approaches like using superconducting circuits, trapped ions, or even semiconductor quantum dots as qubits. This is an emerging technology, and while not a mainstream semiconductor product yet, major research and industry efforts are underway to realize scalable quantum processors.
Quantum Dot
A nanoscale semiconductor particle (often only a few nanometers in size) that exhibits quantum confinement effects, meaning its electronic and optical properties are highly size-dependent. Because of their quantum-mechanical behavior, quantum dots have discrete energy levels and can emit light of specific wavelengths when excited – the emitted color is tunable by the dot’s size (What happens to the energy gap of a quantum dot when its size is ...). Quantum dots are used in displays (QLED TVs use quantum dot films for rich color), in biomedical imaging (fluorescent tags), and are researched for quantum computing and photonics. In essence, a quantum dot is like an “artificial atom” that can be engineered; common materials are CdSe, PbS, or InGaN, often encapsulated in shells for stability.
R
RISC-V (Reduced Instruction Set Computer - V)
An open-standard Reduced Instruction Set Computer architecture (5th generation) that is provided royalty-free. RISC-V defines a simple, extensible set of CPU instructions based on RISC principles, which simplifies hardware design and allows customization (市場調査レポート: RISC-V技術:世界市場の展望). It has gained significant traction as an alternative to proprietary ISAs (like ARM or x86) because companies and researchers can develop and modify RISC-V processors without licensing fees. While RISC-V itself is an ISA specification, in the semiconductor context many companies are now producing RISC-V based cores and microcontrollers for IoT, AI accelerators, and even application processors. It represents an important “related technology” trend in the chip industry, emphasizing openness and modularity.
RF (Radio Frequency) Devices
Semiconductor components designed to operate at radio frequencies (roughly 3 kHz to 300 GHz) for wireless communication systems (RF Semiconductor Market Size, Share | Industry Report [2032]). RF devices include RF transistors (like GaAs or GaN HEMTs, RF CMOS), low-noise amplifiers, power amplifiers, mixers, oscillators, and filters on-chip. They often require special process tweaks (e.g., GaAs HBTs, silicon-on-insulator CMOS) to reduce parasitics and handle high-frequency analog signals. These devices are found in smartphones (transceivers, PAs), Wi-Fi/Bluetooth chips, radar systems, and more. RF semiconductors must balance high-speed performance with power output and efficiency – for instance, a smartphone PA amplifies signals in the GHz range and must be efficient to save battery and control heat.
S
Semiconductor
A material with electrical conductivity between that of a conductor and an insulator. In practice, “semiconductor” typically refers to silicon or other materials whose conductivity can be controlled via doping and electric fields. Pure silicon is a poor conductor at room temperature, but by adding impurities or applying voltages (as in transistors), its charge carrier population changes, hence it semiconduces. The term also colloquially refers to integrated circuits or the industry at large (e.g., “the semiconductor business”). Semiconductors form the foundation of all modern electronics (Glossary of Semiconductor Terms | GlobalFoundries).
Silicon (Si)
The most widely used semiconductor material in the world, atomic number 14. Silicon is abundant (obtained from sand/quartz as silicon dioxide) and can be refined to high purity, then grown as large single-crystal ingots which are sliced into wafers (Glossary of Semiconductor Terms | GlobalFoundries). It has a bandgap of ~1.12 eV (indirect) and forms a stable oxide (SiO₂) which was crucial for developing MOSFET technology. Silicon’s dominance in chips is due to their excellent material properties and the mature manufacturing infrastructure. Other materials (GaAs, GaN, etc.) are used for special purposes, but >90% of all semiconductor devices (processors, memory, etc.) are built on silicon.
SoC (System-on-Chip)
An integrated circuit that integrates all or most components of a complete system onto one chip (system-on-chip | Photonics Dictionary). A typical SoC may include processor cores, memory blocks (flash, SRAM), peripherals (USB, UART), analog interfaces (ADCs, power management), and more – essentially an entire computer or electronic system on one piece of silicon. SoCs are common in smartphones, IoT devices, and embedded systems, enabling compact and power-efficient products. Designing an SoC involves hardware/software co-design and often uses IP cores for various functions. The benefit is reduced physical footprint and cost for the system, but SoCs are complex to design and verify due to the many integrated functions.
Silicon Carbide (SiC)
: A compound semiconductor made of silicon and carbon, known for its wide bandgap (~3.26 eV) and exceptional thermal and electrical properties. SiC devices can operate at much higher temperatures and voltages than silicon devices, making SiC highly valued in high-power, high-voltage applications like electric vehicle inverters, industrial motor drives, and power supplies (How Silicon Carbide (SiC) is Shaping the Semiconductor Future). SiC also has high thermal conductivity, aiding heat dissipation. It’s used for making Schottky diodes, MOSFETs, and JFETs that significantly reduce power losses in power electronics. The challenge with SiC has been fabrication (harder to grow and process wafers), but it’s now commercially mainstream in power devices, marking an important shift to wide-bandgap semiconductors.
Spintronics
Also known as spin electronics, this field utilizes the electron’s spin (a quantum property) in addition to its charge for information processing. In spintronic devices, the spin state (up/down) can represent data, offering potential advantages like non-volatility and lower energy operation. A practical example is MRAM (Magnetoresistive RAM), which uses magnetic tunnel junctions; the relative orientation of magnetization (parallel or antiparallel) in two layers (affecting resistance) stores bits (Spintronics - Wikipedia). Spintronics already appears in magnetic sensors (e.g., hard drive read heads, Giant Magnetoresistance heads) and memory. Research is ongoing to integrate spintronic logic with conventional CMOS or create novel quantum computing elements. By leveraging spin, devices could retain information without power and possibly achieve faster switching through magnetic dynamics.
T
Transistor
A three-terminal semiconductor device used to amplify or switch electronic signals (A transistor is a semiconductor device used to | Chegg.com). It is the fundamental building block of modern electronic circuits. There are two main families: bipolar transistors (BJTs) which are current-controlled and field-effect transistors (FETs, like MOSFETs) which are voltage-controlled. In a circuit, a transistor can take a small input signal and control a larger current, enabling amplification; or it can be used as an on/off switch (as in digital logic). The invention of the transistor in 1947 (replacing vacuum tubes) revolutionized electronics. Today’s integrated circuits contain millions to billions of transistors interconnected to perform complex computations
Through-Silicon Via (TSV)
A vertical electrical connection that passes through a silicon wafer or die, allowing different layers or chips to be electrically connected in 3D stacking (Technical Glossary | Lam Research). TSVs enable high-density 3D integration such as stacking memory on logic (HBM memory on GPUs) or forming true 3D ICs by bonding multiple active silicon layers. A TSV is created by etching a narrow, high-aspect-ratio hole through the silicon and filling it with a conductor (like copper). They provide shorter interconnect paths between chips than traditional packaging, resulting in higher bandwidth and lower latency. TSVs are a key technology for advanced packaging, 3D memory (e.g., in 3D NAND the concept is similar but in one monolithic chip), and heterogeneous integration.
Tape Out
The final step in the chip design process where the completed design layout is finalized and sent to manufacturing. At tape-out, engineers hand off the graphic database system (GDSII/OASIS) file of the IC to the fab – historically the data was written to magnetic tape, hence the name. It signifies that the design is frozen and ready for mask fabrication (Tapeout in Semiconductor Manufacturing: An In-depth Exploration). A tape-out is a major milestone; any changes after this point are costly. Modern chips may undergo multi-project wafers or engineering samples, but once a design tapes out and masks are made, the next step is wafer fabrication. The phrase is also used as a verb (e.g., “we taped-out the 5 nm chip last week”).
U
Ultra-Large Scale Integration (ULSI)
A level of IC integration referring to chips with millions or more transistors. The term ULSI came into use as chip densities exceeded the earlier VLSI (very-large-scale integration) era. Practically, ULSI indicates integration on the order of 10^6 to 10^9 transistors on a single chip (Ultra Large-Scale Integration (ULSI): Powering the Modern Tech Era). Today’s microprocessors and memory chips far exceed this, containing billions of transistors, so the term isn’t used as much (we simply refer to the specific node or transistor count). ULSI highlighted the technological advances of the late 1980s and 1990s where entire complex systems (like a 32-bit CPU with cache) became possible on one piece of silicon.
V
Very-Large-Scale Integration (VLSI)
The process or era of integrating hundreds of thousands to millions of transistors on a single chip (What is meant by very large-scale integration (VLSI)? - Physics - Vaia). The term originated around the 1970s–1980s when such levels of integration were cutting-edge (e.g., early 16-bit microprocessors and memory chips). VLSI design techniques involve managing complexity through abstraction (logic synthesis, standard cells) and were facilitated by EDA tools. Although the terminology is historical (we moved through VLSI to ULSI, etc.), “VLSI” still broadly refers to the field of chip design and integration. University courses on chip design are often titled VLSI design, covering the methods to design large integrated circuits.
Via
In chip fabrication, a via is a tiny vertical connection between different metal interconnect layers in an integrated circuit (Technical Glossary | Lam Research). After metals are deposited and patterned (usually in alternating stacks of metal and dielectric), vias are formed by etching holes in the dielectric and filling them with metal to electrically connect one layer to the layer above or below. They are like “electron tunnels” connecting wiring levels. In PCBs (circuit boards), the term via is also used for plated through-holes that connect layers. In semiconductor contexts, controlling via resistance and reliability (preventing voids, etc.) is important for circuit performance and yield.
W
Wafer
A thin, flat disc of semiconductor material (usually silicon) used as the substrate for fabricating integrated circuits. Standard silicon wafers range from 150 mm (6 inch) to 300 mm (12 inch) in diameter (with 300 mm being mainstream in leading-edge fabs, and 450 mm under consideration) (Glossary of Semiconductor Terms | GlobalFoundries). Wafers are cut from monocrystalline ingots and polished to mirror smoothness. During manufacturing, many identical ICs are made across the wafer in a grid pattern through photolithography and processing. After fabrication, wafers undergo probing (testing), then are diced into individual dies. The term “wafer” can also generically mean the substrate, even for non-silicon material (e.g., GaAs wafer).
X
X-ray Lithography
A lithographic technique that uses X-rays (with wavelength on the order of 0.1–10 nm, much shorter than UV light) to achieve extremely fine patterning. X-ray lithography transfers a mask pattern to a resist by exposing it to X-rays, which can create very small feature sizes due to the short wavelength (X-ray lithography - Wikipedia). It was researched as a potential successor to UV lithography for sub-micron patterning. While X-ray lithography demonstrated high resolution, it required special masks (X-ray masks) and synchrotron radiation sources, and it never became mainstream in chip fabrication (EUV lithography at 13.5 nm took a different approach). However, X-ray lithography is still used in some niche microfabrication and research applications.
Y
Yield
The percentage of functional devices (dies) on a manufactured wafer out of the total devices fabricated ([PDF] The Revival of Manufacturing at Intel - HAL Sorbonne Université). Yield is a critical metric in semiconductor manufacturing – a higher yield means more good chips per wafer, directly affecting cost and profitability. Yield can be impacted by defects (dust particles, process variations) and design robustness. In early production of a new chip, yields might be low, but process tuning and design fixes improve it over time. There are several types of yield (parametric yield – meeting performance specifications; functional yield – simply working vs. not). Achieving high yield in spite of wafer-scale integration complexity is a major focus of process engineers (for instance, using redundancy in memory arrays or error-correcting codes to mitigate defects).
Z
Zener Diode
A special type of diode designed to conduct in the reverse direction when a precise breakdown voltage is reached. A Zener diode is heavily doped to have a sharp breakdown (the Zener voltage) and is used to provide voltage reference or regulation by clamping voltage at that level (Zener diode - Wikipedia). For example, a 5.1 V Zener will remain non-conductive in reverse until ~5.1 V, then conduct to hold the voltage near that value. Zener diodes exploit quantum tunneling (Zener effect) or avalanche breakdown in a controlled manner. They are commonly found in power supplies and protection circuits to ensure stable voltages or to protect against surges.
This glossary of semiconductor terms covers the foundational vocabulary you’ll encounter in the electronics industry. From manufacturing processes like lithography and ion implantation to critical components such as MOSFETs, ASICs, and SoCs, you now have a solid reference. Use these definitions as a guide while you explore semiconductor technology, and don’t hesitate to refer back whenever you come across a new term – the world of microelectronics is always evolving, but the core principles stay consistent.